16位加法器verilog我要分享

16 bit adder Verilog

16位 加法器 verilog

关注次数: 194

下载次数: 0

文件大小: 8KB

代码分类: 一般算法

开发平台: matlab

下载需要积分: 1积分

版权声明:如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

代码描述

中文说明:

16位超前进位加法器verilog代码。


English Description:

16 bit carry ahead adder Verilog code


代码预览