用Verilog编写的一个简单的产生伪随机序列的代码(m序列),比较实用。...我要分享

Using Verilog to write a simple pseudo-random sequence code (m sequence), more practical. ...

vhdl verilog 代码 简单 一个 编写 比较 随机 序列 实用 产生

关注次数: 244

下载次数: 0

文件大小: 114.43 kB

代码分类: 其他

开发平台: matlab

下载需要积分: 2积分

版权声明:如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

代码描述

中文说明:用Verilog编写的一个简单的产生伪随机序列的代码(m序列),比较实用。-Verilog prepared with the emergence of a simple pseudo-random code sequence (m sequence), more practical.


English Description:

Verilog prepared with the emergence of a simple pseudo-random code sequence (m sequence), more practical.


代码预览